EDA技术与应用

EDA技术已成为电子设计技术发展重要趋势,深入到经济技术发展与社会进步的方方面面。毫不夸张地说,EDA技术正在深刻地改变着我们熟悉的世界。 为使在线学习者能够快速领悟EDA技术核心要素,掌握EDA知识与技能,我们开设了“EDA技术与应用”课程,帮助学习者运用EDA工具和硬件描述语言完成电子电路设计

播放:8267次,课程ID:4227236

EDA技术与应用课程简介:前往报名学习

EDA技术与应用课程简介:

EDA技术已成为电子设计技术发展重要趋势,深入到经济技术发展与社会进步的方方面面。毫不夸张地说,EDA技术正在深刻地改变着我们熟悉的世界。 为使在线学习者能够快速领悟EDA技术核心要素,掌握EDA知识与技能,我们开设了“EDA技术与应用”课程,帮助学习者运用EDA工具和硬件描述语言完成电子电路设计

前往报名学习

EDA技术与应用课程目录:

第一章 EDA技术概述

--1.1 EDA技术概述

第二章 可编程逻辑器件概述

--2.1 可编程逻辑器件(PLD)概述

--2.2 CPLD的结构和原理

--2.3 FPGA的结构和原理

--2.4 FPGA和CPLD的选用

--2.5 FPGA与CPLD的编程和配置

第三章 EDA开发平台——QuartusII应用

--3.1 QuartusII概述

--3.2 新建工程

--3.3 设计输入

--3.4 设计编译

--3.5 仿真验证

--3.6 器件编程

--3.7层次化设计

--3.8 宏功能模块的使用

第四章 VHDL语言概述

--4.1 VHDL语言概述

--4.2 VHDL代码的结构

--4.3 库和库声明

--4.4 实体说明

--4.5 结构体

--4.6 VHDL预定义数据类型

--4.7 常量、变量和信号

--4.8 运算操作符和属性

--4.9 IF语句和WAIT语句

--4.10 CASE语句

--4.11 LOOP循环语句

--4.12 进程

--4.13 条件信号赋值语句

--4.14 选择信号赋值语句

--4.15 元件例化语句

--4.16 VHDL模块化设计

第五章 设计方法概述

--5.1 设计方法概述

--5.2 EDA综合设计案例(1)— 数字钟的设计

--5.3 EDA综合设计案例(2)—16×16点阵控制器设计

思政教育

期末考试

EDA技术与应用授课教师:

毕亚军-副教授-北华航天工业学院-电子与控制工程学院

毕亚军,男,副教授,电工电子教学中心副主任。长期从事电工电子基础课程教学工作,河北省首届精品在线开放课程“EDA技术与应用”课程负责人,主讲《电路分析基础》、《电子技术基础》、《EDA技术与应用》等课程,多次获得教学质量评估优秀;2014年获得校级“优秀教师”和“教学标兵”荣誉称号。

崔瑞雪-教授-北华航天工业学院-电子与控制工程学院

崔瑞雪,硕士,教授。省级精品课程“EDA技术与实践”课程主讲人。主讲《数字电子技术》,《电子技术综合实践》、《EDA技术与实践》等课程。曾获北华航天工业学院优秀教师、教学标兵以及廊坊市“三育人”先进个人等荣誉称号。

齐建玲-教授-北华航天工业学院-电子与控制工程学院

齐建玲,工学硕士,硕导,教授。主讲《数字逻辑电路与系统设计》、《微机控制技术》等多门课程,参与指导课程设计、毕业设计,多次教学评估优秀;曾获得校优秀教师、SHATF奖教金、市“三育人”先进个人等多项奖励;承担或为主参加多项省级教研、科研课题;主编普通高等教育“十一五”国家规划教材多部;公开发表科研、教学论文20余篇。

李泓锦-讲师-北华航天工业学院-电子与控制工程学院

李泓锦,硕士,讲师。主讲《数字电子技术》、《EDA技术与应用》等课程。主持校级青年基金项目2项。荣获校级教学评估优秀一次。指导全国大学生电子设计竞赛荣获多次奖项。

© 柠檬大学 2020